文章目录


实现思路

实现方法很简单,使用一个计数器一直计数,然后和两个值进行比较,一个值是高电平时间h_time,一个值是周期period,在小于h_time期间,输出高电平;大于h_time期间,输出低电平,到达周期period时,计数器清零。

Verilog实现产生任意占空比的PWM波_开发板

源程序

端口说明


  • clk:时钟信号
  • nreset:复位信号,低电平复位,输出为0
  • en:使能信号,高电平使能输出,低电平输出为0,
  • period:PWM的周期,如主时钟96M,产生4MHz的波形,则period = 96/4 = 24
  • h_time:高电平的时间,如主时钟96M,产生4MHz,占空比25%的波形,则h_time = 24 * 0.25 = 6
  • pwm:PWM波输出

源程序

pwm_gen.v

module pwm_gen(
input nreset,
input clk,
input en,
input [15:0] period,
input [15:0] h_time,
output reg pwm
);
reg [31:0] CNT;
always @ (posedge clk)
begin
if(!nreset)
CNT <= 0;
else if(CNT >= period - 1 )
CNT <= 0;
else
CNT <= CNT + 1;
end
always @ (posedge clk)
begin
if(!nreset)
pwm <= 0;
else //nreset = 1
begin
if(en == 0)
pwm <= 0;
else //en = 1
begin
if(CNT <= h_time - 1)
pwm <= 1;
else
pwm <= 0;
end
end
end
endmodule

实际应用

可产生任意占空的PWM波,若主时钟为96MHz。


  • 产生4MHz,50%占空比:period = 24,h_time = 12;
  • 产生3KHz,50%占空比:period = 32000,h_time = 16000;
  • 产生宽度10us,间隔100us的脉冲信号:period = 10560,h_time = 960;


Verilog实现产生任意占空比的PWM波_主时钟_02


感谢关注!