前言

首先需要明确是Notepad++本身并不能实现verilog语法检查,只是在Notepad++中通过命令调用Vivado的语法检查工具,这样可以实现在Notepad++中编写代码后便能直接进行语法检查,快速修改,相比于Vivado的综合来实现检查,可以节省很多时间。

Notepad++安装NppExec插件

打开Notepad++,选择 插件-->插件管理,如图1.1.所示(我已经安装过该插件了,所以点开后就能看到),在搜索框中输入nppexec,如图1.2所示,找到后选中并安装。安装成功后便能如图1.1所示看到NppExec。

Notepad++联合VIVADO环境配置实现verilog语法检查_VIVADO

图1.1

Notepad++联合VIVADO环境配置实现verilog语法检查_Notepad_02

图1.2


NppExec命令配置

点开NppExec选择第一项点开,或者直接按F6快捷键,如图2.1所示。打开后会有一个空白栏输入命令(commands)

Notepad++联合VIVADO环境配置实现verilog语法检查_VIVADO_03

图2.1

命令栏中可以输入很多不同命令实现不同功能的调用,可以在网上搜索学习,这里仅展示调用本机安装的VIVADO2022.2程序进行Verilog语法检查。在空白命令栏中输入花括号中的命令(直接复制粘贴){ cmd /k cd "$(CURRENT_DIRECTORY)" &D:\Xilinx\Vivado\2022.2\bin\xvlog.bat "$(FULL_CURRENT_PATH)" & ECHO. & EXIT},标红的部分需要替换为自己Vivado软件的安装路径。输入完成后,点击save...,会要求输入该命令脚本的保存名字,如图2.2所示,输入一个名称并点save保存,这样下次使用便可以点击左侧下拉栏,快速选择不同命令脚本进行执行,无需再次输入,如图2.3所示。

Notepad++联合VIVADO环境配置实现verilog语法检查_Notepad_04

图2.2

Notepad++联合VIVADO环境配置实现verilog语法检查_FPGA_05

图2.3

建议勾选:插件-->NppExec-->Save all files on execute,这样按 F6 编译时,插件会先自动保存再编译。如果不勾选则需要先手动保存代码再编译,否则编译的是上次保存状态的结果,如图2.1所示。

NppExec配置错误提示跳转

在Notepad++中选择 插件-->NppExec-->Console Output Filters-->HightLight添加对应的正则表达式

输入 *ERROR: [*[%ABSFILE%:%LINE%](可直接复制),右侧可以选择错误提示文字的颜色,点击OK完成设置。

当编译检查代码出现报错时,双击ERROR提示可跳转到错误行。


Notepad++联合VIVADO环境配置实现verilog语法检查_FPGA_06

总结

完成上述配置后,对Verilog代码进行编译检查时,直接按F6,再按回车键,便可快速执行之前保存的命令脚本,实现Verilog代码的编译检查。

参考链接:

https://www.cnblogs.com/xianyuIC/p/11354648.html

https://bbs.csdn.net/topics/600543590