1.算法仿真效果 本系统在以前写过的QPSK调制解调系统的基础上,增加了高斯信道模块,误码率统计模块,可以验证不同SNR情况下的QPSK误码情况。

vivado2019.2仿真结果如下(完整代码运行后无水印):

SNR=15DB

1.jpeg

SNR=10DB

2.jpeg

SNR=5DB

3.jpeg

SNR=1DB

4.jpeg

系统RTL结构图:

5.jpeg

2.算法涉及理论知识概要 QPSK是一种数字调制方式,它将两个二进制比特映射到一个符号上,使得每个符号代表四种可能的相位状态。因此,QPSK调制解调系统可以实现更高的传输速率和更高的频谱效率。基于FPGA的QPSK调制解调系统通常由以下几个模块组成:

数据生成模块:生成要传输的二进制数据流。 QPSK调制模块:将二进制数据流转换为符号序列,并将每个符号映射到特定的相位状态。 QPSK解调模块:将接收到的符号序列解调为二进制数据流。 下面将详细介绍每个模块的原理和实现方法。

2.1QPSK调制模块 QPSK调制模块将二进制数据流转换为符号序列,并将每个符号映射到特定的相位状态。QPSK调制使用四个相位状态,分别为0度、90度、180度和270度。在QPSK调制中,每个符号代表两个比特,因此,输入二进制数据流的速率必须是符号速率的两倍。 QPSK调制模块通常使用带有正弦和余弦输出的正交调制器(I/Q调制器)来实现。在I/Q调制器中,输入信号被分成两路,一路被称为“正交(I)路”,另一路被称为“正交(Q)路”。每个输入符号被映射到一个特定的正交信号,然后通过合成器将两个信号相加,形成QPSK调制信号。

6.jpeg

2.2 QPSK解调模块 QPSK解调模块将接收到的符号序列解调为二进制数据流。解调模块使用相干解调器来实现,相干解调器可以将接收到的信号分解成两个正交分量,然后将它们与本地正交信号相乘,得到原始的QPSK符号。解调器的输出是一个复数,需要进行幅值解调和相位解调才能得到原始的二进制数据流。

7.jpeg

基于FPGA的QPSK调制解调系统的开发过程。 首先需要选择适合的FPGA平台和开发工具。常用的FPGA平台有Xilinx和Altera,开发工具包括Vivado,以及Altera Quartus。选择FPGA平台和开发工具需要考虑系统的要求和开发人员的经验。 系统设计包括确定系统的功能、模块划分和接口设计。在QPSK调制解调系统中,需要确定每个模块的功能和接口,并确定数据流的方向和速率。在设计过程中,需要考虑系统的性能、资源占用和延迟等因素。 模块实现是基于FPGA的QPSK调制解调系统开发的核心部分。在模块实现过程中,需要使用硬件描述语言(HDL)编写代码,并使用仿真工具进行验证。常用的HDL语言有VHDL和Verilog,仿真工具包括ModelSim和ISE Simulator。 基于FPGA的QPSK调制解调系统是一种高效、可靠的数字通信系统。通过使用FPGA平台和硬件描述语言,可以实现高性能、低延迟、低功耗的QPSK调制解调系统。在开发过程中,需要考虑系统的功能、性能、资源占用和延迟等因素。通过系统测试,可以确保系统的正确性和可靠性。

3.verilog核心程序

//
// Company: 
// Engineer: 
// 
// Create Date: 2024/07/25 21:19:54
// Design Name: 
// Module Name: QPSK_tops
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//
 
 
module QPSK_tops(
input i_clk,
input i_rst,
input i_Ibits,
input i_Qbits,
input signed[7:0]i_SNR,
output signed[15:0]o_Ifir,
output signed[15:0]o_Qfir,
output signed[31:0]o_mod_T,
output signed[15:0]o_Nmod_T,
output  signed[31:0]o_modc,
output  signed[31:0]o_mods,
output signed[31:0]o_rIfir,
output signed[31:0]o_rQfir,
output signed[31:0]o_error_num,
output signed[31:0]o_total_num  
);
    
 
//QPSK调制
TQPSK TQPSKU(
.i_clk  (i_clk),
.i_rst  (i_rst),
.i_Ibits(i_Ibits),
.i_Qbits(i_Qbits),
 
.o_Ifir (o_Ifir),
.o_Qfir (o_Qfir),
.o_cos  (),
.o_sin  (),
.o_modc (),
.o_mods (),
.o_mod  (o_mod_T)
);
 
//加入信道
awgns awgns_u(
    .i_clk(i_clk), 
    .i_rst(i_rst), 
    .i_SNR(i_SNR), //这个地方可以设置信噪比,数值大小从-10~50,
    .i_din(o_mod_T[30:15]+o_mod_T[31:16]), 
    .o_noise(),
    .o_dout(o_Nmod_T)
    );  
 
 
//QPSK解调
RQPSK RQPSKU(
.i_clk  (i_clk),
.i_rst  (i_rst),
.i_med  (o_Nmod_T),
.o_cos  (),
.o_sin  (),
.o_modc (o_modc),
.o_mods (o_mods),
.o_Ifir (o_rIfir),
.o_Qfir (o_rQfir)
 
);
    
    
 //计算误码率   
 //I,Q两路分别计算,最后统计平均值作为误码率
//error calculate
wire [31:0]w_error_num1;
wire [31:0]w_error_num2;
Error_Chech Error_Chech_u1(
    .i_clk(i_clk), 
    .i_rst(i_rst), 
    .i_trans({~i_Ibits,1'b1}), 
    .i_rec({~o_rIfir[31],1'b1}), 
    .o_error_num(w_error_num1), 
    .o_total_num()
    );
    
//error calculate
Error_Chech Error_Chech_u2(
    .i_clk(i_clk), 
    .i_rst(i_rst), 
    .i_trans({~i_Qbits,1'b1}), 
    .i_rec({o_rQfir[31],1'b1}), 
    .o_error_num(w_error_num2), 
    .o_total_num(o_total_num)
    ); 
    
assign o_error_num={w_error_num1[31],w_error_num1[31:1]} + {w_error_num2[31],w_error_num2[31:1]} ;
    
endmodule

```