1.在build_phase使用 uvm_error,也会退出:

UVM:5.1.6 build 阶段出现UVM_ERROR停止仿真_致命错误


2.结果:

UVM:5.1.6 build 阶段出现UVM_ERROR停止仿真_致命错误_02


3.在end_of_elaboration_phase 之前的phase中,如果出现UVM_ERROR,UVM就认为出现了致命错误,会调用uvm_fatal 结束仿真。

4.小设计看不出优势,大设计有用。