1.uvm_component_utils:把一个直接或间接派生自uvm_component 的类注册到factory中。

2.uvm_component_param_utils:把一个直接或间接派生自uvm_component 的参数化的类注册到factory中。

3.uvm_component_utils_begin:uvm_object_utils_begin 相似,用于同时需要factory 和field_automation 机制注册的类。最大的意义在于可以自动使用config_db得到某些变量的值。

4. uvm_component_param_utils_begin:用于参数化class 实现某些变量field_automation 机制。

5.uvm_component_utils_end:总是与uvm_component_*_beingc成对出现,作为factory 注册结束的标志。永远就这一种end。