1.uvm_object_utils:把一个直接或间接派生自uvm_object 的class 注册到factory。


2.uvm_object_param_utils:把一个直接或间接派生自uvm_object 的参数化的class 注册到factory。类似:

UVM:3.1.4 与uvm_object 相关的宏_可移植性

参数化的class 在重构性中经常用到。如果允许,尽可能使用参数化的class,提高代码可移植性。


3.uvm_object_utils_begin:需要使用field_automation机制时,需要使用此宏。如果使用了,但什么字段也没放进去,行吗?

UVM:3.1.4 与uvm_object 相关的宏_字段_02

不会出现任何问题。


4.uvm_object_param_utils_begin:和 uvm_object_utils_begin 宏一样,适用于参数化class 实现field_automation机制。


5.uvm_object_utils_end:总是与uvm_object_*_begin 成对出现,作为factory注册的结束标志。永远就这一种。