前言1)不少客户拿到NB-IoT模组,才发现自己忘记买相应的SIM卡,无法进行相应功能开发2)不知道买哪种SIM卡,买的SIM卡不适用,或者运营商不匹配,国内基本也就移动入库/电信入库/联通入库 下面来详细解读下SIM卡、USIM卡、UICC卡、eSIM卡的区别:SIM卡:英文全称Subscriber Identity Module即为“用户识别卡”,最直接的理解,就是我们手机上的电话卡
转载 2024-03-25 12:27:11
1447阅读
https://mp.weixin.qq.com/s/hxXyWs17StnIXfJAq-MUFQ 简单介绍vsim文件夹中的Makefile/Makefrag/Makefrag-verilog。 1. vsim/Makefile 1) 定义默认规则: 2) 定义相关变量: 其中: a. base_ ...
转载 2021-08-31 20:23:00
410阅读
2评论
手机软件测试   目录1 手机知识... 3 1.1 手机的主要功能... 3 1.1.1 通话功能... 3 1.1.2 消息功能... 3 1.1.3 电话本... 3 1.1.4 增值服务... 3 1.1.5 其他功能... 3 1.1.6 为特定语言定做的功能... 4 1.1.7
1、 物联网SIM卡可以用在哪些创新应用场景呢? 适用于远距离通信,并且对传输速率要求比较高的场景。 例如:定位跟踪:GPS+LBS覆盖室内定位室外数据传输。 远程智能抄表:定时自动数据上报。 无线移动POS机:实现便携广泛网络覆盖。 车联网:进行车辆定位、大数据量传输(远程诊断、远程维护)。 视频
转载 2020-07-12 22:26:00
1178阅读
2评论
1. 现象如下所示: 2. 分析原因: 使用Modelsim仿真时,找不到"rom_mult_4bit.mif"文件。在Quartus中,调用ROM_IP核,生成的.v文件使用的是相对路径,如下图所示。Modelsim找不到该路径。 3. 解决方法: 注意:绝对路径中斜杠的方向。 参考资料: (1) ...
转载 2021-08-18 19:25:00
1417阅读
2评论
有很多软件仿真都是black boxs 黑盒子模式,所以用modelsim提示该错误。错误的原因是在于库用了其他旧的软件版本编译好的。 所以解决的办法如下:   在已经映射好的库选择refresh就可以刷新库,完成重新生成库文件。 或者用下面的指令。强制刷新。 vlog -work D:/programmer/latticediamond/diamond312/diamond/3.12/cae_
原创 2021-04-21 11:11:28
604阅读
从2G时代一直到现在5G的到
原创 2022-01-07 10:07:51
2083阅读
    近两年来,物联网的应用也快速普及,从智能穿戴到智慧城市,很多行业和领域都被物联网覆盖,而作为连接物联网和终端设备的桥梁-物联卡,就显得十分关键了,物联卡的质量和售后将直接影响到物联网设备的质量。    物联卡中心介绍,物联卡特征其实和我们平时用的手机SIM卡比较相似,目前市场上主要有移动物联卡、联通物联卡和电信物联卡三大卡片,由三大运营商推出并发行,
Fatal: (vsim-2178) A newer version (version 11) SystemC DPI tfdb file is present in current work library. The software only expe是语法不标准,检查代码原因:同一变量类型既wire又reg错误情况:module tb ( input a,//默认为wire output b,//默认为wire output c //默认为wir
原创 2021-11-11 15:16:13
155阅读
移远EC20、EC600、EC800等模块openCPU开发vsim、softsim
Error: (vsim-3053) D:/adder/adder_controltb.v(60): Illegal output or inout port connection (port 'P0_i').  最终解决与下面几个链接虽然无关,但看了之后还是得到了启发。 http://www.edaboard.com/ftopic329717.html http:
转载 2023-10-11 13:35:52
51阅读
安装完modelsim后,用过命令行模式仿真,如“vsim -c -do run.do”,开始时是可以的。后来偶然再用该仿真方式,发现命令行提示“vsim 不是内部或外部命令,也不是可运行的程序或批处理文件”。在网上搜索了一下,是由于环境变量PATH的值(即modelsim的安装路径:C:\modeltech_10.2c\win64)不见了。将环境变量PATH的值添加一个C:\modelt...
原创 2021-07-09 10:26:30
1199阅读
各种仿真波形文件 一、仿真波形文件介绍1. Wlf文件:WLF波形日志文件,是modelsim的专用文件。这个wlf文件只能是由modelsim来生成,也只能通过modelsim来显示。在用modelsim做仿真时,仿真结束都会生成一个*.wlf的文件(默认是vsim.wlf)。下次就可以通过通过modelsim直接打开这个保存下来的波形。 vsim -view vsim.wlf -d
putty 修改password 指令:yppasswd vnc 端口申请指令:vncserver -geometry 2000x1800(分辨率) vncviewer 退出全屏指令 ctrl+alt+shift+ FLinuxgrep Fail 查看Fail相关记录 su + 用户名 登陆用户 ps aux | grep vsim 显示所有与vsim相关进程 chmod 777 filename
do文件quit -simcd D:/gaop/SIM/sim_testvlib workvmap work workvlog -novopt -incr -sv -work work "./tb/tb.v"vsim +parameter1 +parameter2=pcie -novopt -t 1ns -L work work.tblog -r /
原创 2021-11-11 15:18:23
109阅读
今天日常打开ModelSim准备仿真,突然报如下错误:Unable to checkout a viewer license necessary for use of the ModelSim graphical user interface.Vsim is closing.大概意思是license有问题,重新生成lincense... ...
原创 2021-07-09 10:26:31
4210阅读
解决Vivado与modelsim仿真卡在Executing analysis and compilation step这里还有一个报错现象vivado联合modelsim报错:vsim-19 Failed to access library ‘unisims_ver‘ at ‘unisims_ver‘先说原因因为代码不规范!!!起因这里记录一个事件,昨天想要试一试vivado与modelsim联
          本人使用的Modelsim版本为Modelsim SE-64 10.0c。 1.参照网上资料,用仿真命令 add wave/testdiv/ *为什么无法将信号加载进去?解决方法:add wave * 命令就可以了。 2.使用vsim testdiv语句(仿真命令)没法显示所有的信号?解决方法:可能
如何用命令行的形式进行仿真一、写在前面二、如何使用命令行进行仿真2.1 vlib命令2.2 vmap命令(可选)2.3 vlog命令2.4 vopt命令(可选)2.5 vsim命令2.6 开始仿真2.6.1 add wave命令2.6.2 run命令2.6.3 其他命令2.7结束仿真2.8 总结三、do文件3.1 do文件案例3.2 运行do文件3.2.1 GUI形式运行.do文件3.2.2 命
Models是一个常用的数字系统级仿真(DSS)工具,它可以在Linux操作系统下运行。本文将为您介绍在Linux下如何配置和使用Modelsim。 首先,您需要下载Modelsim安装包并将其解压缩到您选择的安装目录中。然后,打开终端并导航到该目录。接下来,输入以下命令来启动Modelsim: ``` cd /bin ./vsim ``` 这将启动Modelsim控制台。接着,您可以创建一
原创 2024-05-29 11:29:15
1089阅读
  • 1
  • 2