一、实验目的和任务

进一步熟悉QuartusⅡ及其LPM_ROM 与FPGA 硬件资源的使用方法。

二、设计代码(或原理图)、仿真波形及分析

1、ROM设计关键截图(3-5张)

FPGA综合实验 02 - | 正弦信号发生器设计_正弦信号发生器

FPGA综合实验 02 - | 正弦信号发生器设计_数电_02

FPGA综合实验 02 - | 正弦信号发生器设计_fpga_03

2、ROM设计的基本原理分析

首先根据正弦信号发生器的波形,从而得到它的输入是时间,而输出是振幅,然后将正弦波的振幅放于64存储单元的ROM,那么ROM中的数据与地址必然是有对应关系。

3、地址计数器的设计及分析

根据正弦信号发生器总体设计框图,它是由地址发生器,然后通过正弦波数据存储ROM,最后输出到波形数输出,即8位D/A。

4、实验结果截图(总原理图,示波图)及原理分析

FPGA综合实验 02 - | 正弦信号发生器设计_fpga_04

FPGA综合实验 02 - | 正弦信号发生器设计_fpga/cpld_05