前言

  本篇安装高云的开发软件Gowin,并且描述了一个基于高云fpga的程序的开发环境和完整的下载运行过程。

<br>

Gowin软件

概述

  Gowin 软件是广东高云半导体股份有限公司的 FPGA 开发软件。(https://s2.51cto.com/images/blog/202401/16213730_65a6869abbd7114723.png?x-oss-process=image/watermark,size_14,text_QDUxQ1RP5Y2a5a6i,color_FFFFFF,t_30,g_se,x_10,y_10,shadow_20,type_ZmFuZ3poZW5naGVpdGk=)

  在这里插入图片描述

申请license

  申请license地址:在这里插入图片描述

  这个过程也有一个和谐的方式,可查看:   下载好软件、获取到license后就是安装软件了。

安装Gowin软件

  在这里插入图片描述

  在这里插入图片描述

  在这里插入图片描述

  在这里插入图片描述

  在这里插入图片描述

  在这里插入图片描述

  在这里插入图片描述

  在这里插入图片描述

  在这里插入图片描述

  在这里插入图片描述

  在这里插入图片描述

  在这里插入图片描述

  在这里插入图片描述

  在这里插入图片描述

  在这里插入图片描述

  在这里插入图片描述

  然后,就没有然后了,直接没了,是安装完成了。

License注册

  这是没有license,打开安装目录下:   在这里插入图片描述

  在这里插入图片描述

  在这里插入图片描述

  在这里插入图片描述

启动软件

  在这里插入图片描述

  拖出来,放到“开始”屏幕上:   在这里插入图片描述

  点击打开:   在这里插入图片描述

  以上是注册license失败了或者未注册,退回去,继续注册。   在这里插入图片描述

配置中文和新建工程默认路径

   在这里插入图片描述

  在这里插入图片描述

  在这里插入图片描述   在这里插入图片描述

<br>

Fpga开发过程

步骤一:打开软件

  在这里插入图片描述

步骤二:创建工程/打开工程

  在这里插入图片描述

  在这里插入图片描述

  在这里插入图片描述

  在这里插入图片描述

  在这里插入图片描述

  在这里插入图片描述

  在这里插入图片描述

步骤三:编辑/添加verilog源文件

  这里添加一个verilog的程序源码,从demo里面随便拿一个(这是一个反转led灯的demo程序源码):   在这里插入图片描述

  复制到工程的src下:   在这里插入图片描述

  然后添加下verilog文件:   在这里插入图片描述

  在这里插入图片描述

  在这里插入图片描述

  上面是新建文件的方式,下面是添加已有文件的方式:   在这里插入图片描述

  选择src目录下的.v文件:   在这里插入图片描述

步骤四:RTL语法分析(相当于代码编程的编译)

  在这里插入图片描述

  点击后:   在这里插入图片描述

  然后开始分析综合:   在这里插入图片描述

  完成后,就是生成了fpga的底层资源电路网表:   在这里插入图片描述

  完成后,Hierarchy显示模块使用的资源:   在这里插入图片描述

  设置顶层文件:   在这里插入图片描述

  这个好像是跑哪一个程序。

步骤五:物理约束

  进入FloorPlanner界面,点击I/O Constraints,进行I/O约束,然后根据自己的板卡分配引脚和电平标准,   在这里插入图片描述

  弹出新框:   在这里插入图片描述

  点击I/O Constraints:   在这里插入图片描述

  在这里插入图片描述

步骤六:布局布线

  通过点击 Process->Place&Route 进行布局布线,布局布线成功便可看到“Bitstream generation completed”,此时代表fs文件生成成功,如下:   在这里插入图片描述

  在这里插入图片描述

步骤七:板级验证(相当于单片机在线调试)

  将fs文件下载到FPGA芯片中,用于完成对 FPGA 的配置:   在这里插入图片描述

  检测到了设备,确认编程:   在这里插入图片描述

  在这里插入图片描述

  在这里插入图片描述

  在这里插入图片描述

  在线调试结果:   在这里插入图片描述

  在这里插入图片描述

  有三灯一直亮,可以配置下引脚输出为低电平(默认上拉高电平:   在这里插入图片描述

  (这里是下下来直接运行了)   在这里插入图片描述

  (注意:此时相当于单片机下载程序下去在线调试,断电后就没了,需要下载刷固件) 步骤八:程序固化(相当于下载程度到单片机上)   在这里插入图片描述

  在这里插入图片描述

  在这里插入图片描述

  然后再在慢一些,下载完成:   在这里插入图片描述

<br>

入坑

入坑一:下载程序卡死

问题

  无响应卡死   在这里插入图片描述

原因

  不清楚

解决

  继续点击run可以开第二个这个窗口,就可以下载,测试发现第一个必然卡死,卡死的前提下开第二个,第二个可以下载,要是关了再开也是一个,还是卡死,当作是这个下载软件的bug了。

入坑二:下载后LED0不闪烁

问题

  不闪烁,四灯全亮

原因

  未约束管脚输出   在这里插入图片描述

解决

  要配置好引脚约束(实际就是配置引脚输出)    在这里插入图片描述