在 CentOS7 下安装 Cadence IC验证平台 INCISIVE152

前言

本文所使用的软件

虚拟机软件:VMware Workstation 15 PRO

操作系统:CentOS-7, CentOS-7-x86_64-DVD-1810.iso

简介

INCISIVE

Incisive是nc_verilog, nc_sim, nc_lauch,以及ABV,TBV的集合,用于仿真和验证。

InstallScape

InstallScape是cadence软件的图形安装界面,本文将使用InstallScape安装INCISIVE152。

准备工作

下载

Incisive152

链接:https://pan.baidu.com/s/1sdCO3-nq2OPkx1Cuvd4IRg 提取码:sjvm

INCISIV152 内的文件, 共13个

Patch: ​https://www.lxgyw.cn/go?_=17250a0109aHR0cHM6Ly9wYW4uYmFpZHUuY29tL3MvMVUzSGVCWENrOFBDNFNCQm5FSWtZaHc%3D" target="_blank">​https://pan.baidu.com/s/1U3HeBXCk8PC4SBBnEIkYhw​

license: ​https://www.lxgyw.cn/go?_=16d58f9f30aHR0cHM6Ly9wYW4uYmFpZHUuY29tL3MvMTMwdXd6YzlUSnpYcGhVdk9nQlF6c3c%3D" target="_blank">​https://pan.baidu.com/s/130uwzc9TJzXphUvOgBQzsw​

把下载的文件传入虚拟机



在 CentOS7 下安装 Cadence IC验证平台 INCISIVE152_大数据

安装流程

安装依赖包

切换到root用户

su root

安装依赖

yum install ksh

yum install xterm

yum install libX11-devel.i686

yum install libXext-devel.i686

yum install libstdc++-4.8.5-36.el7.i686

创建软件安装目录

修改/opt目录的权限

chmod 777 /opt

切换回普通用户

su gyw ## 将 gyw 替换为你的用户名

创建目录

cd /opt ## 进入opt文件夹

mkdir ./cadence ## 创建cadence文件夹

cd /cadence ## 进入cadence文件夹

mkdir ./iscape ## 创建iscape文件夹

mkdir ./INCISIV152 ## 创建INCISIV152文件夹

mkdir ./license ## 创建license文件夹

mkdir ./patch ## patch

mkdir ./cadence

mkdir ./iscape

mkdir ./INCISIV152

mkdir ./license

mkdir ./patch

安装InstallScape

## 进入共享文件夹内的INSIV152文件夹

cd /mnt/hgfs/MyDownload/INCISIV152

## 解压当前目录下所有后缀为.tar的文件

for i in $(ls *.tar);do tar xvf $i;done

## 将InstallScape安装包复制到相应的安装目录

cp INCISIVE15.20.001_lnx86.Base/CDROM1/IScape04.23-s010lnx86.t.Z /opt/cadence/iscape/

## 进入installscape安装目录

cd /opt/cadence/iscape/

## 解压(无需安装,解压后直接可用)

zcat IScape04.23-s010lnx86.t.Z | tar -xvf -

## 启动InstallScape

./iscape/bin/iscape.sh



在 CentOS7 下安装 Cadence IC验证平台 INCISIVE152_大数据_02

安装incisive152

点击Local directory …

安装包路径 /mnt/hgfs/MyDownload/INCISIV152/INCISIVE15.20.001_lnx86.Base/CDROM1



在 CentOS7 下安装 Cadence IC验证平台 INCISIVE152_大数据_03

点击Continue

选中搜索结果incisive_15.20, 点击next



在 CentOS7 下安装 Cadence IC验证平台 INCISIVE152_ubuntu_04

勾选PINCISIVE

选择安装路径 /opt/cadence/INCISIV152



在 CentOS7 下安装 Cadence IC验证平台 INCISIVE152_linux_05

点击start开始安装

这将花费一定时间。安装完成后将进行configure,碰到license有关的内容选no即可。

破解

## 进入共享文件夹

cd /mnt/hgfs/MyDownload/

## 解压patch.tar

tar -xvf patch.tar

## 将解压后的patch目录复制到cadence目录下

cp -r patch /opt/cadence/

## 解压linsece文件

tar -xvf cadence.dat.tar.gz

## 将解压后的cadence.dat文件复制到license目录下

cp cadence.dat /opt/cadence/license/

## 进入cadence目录

cd /opt/cadence/

## 修改INCISIV152目录下所有文件的权限

su root

chmod -R 777 INCISIV152/

su gyw

## 进入patch目录

cd patch/

## 执行破解程序

## 32位系统使用patch32.sh,64位系统系统patch62.sh

./patch62.sh ../INCISIV152/

破解完成后,如果有error不必担心,重点是要有changed。



在 CentOS7 下安装 Cadence IC验证平台 INCISIVE152_数据库_06

配置环境变量

编辑bashrc

vim ~/.bashrc

在后面添加

#####################

# cadence

#####################

export INCISIVDIR=/opt/cadence/INCISIV152

export VRST_HOME=/opt/cadence/INCISIV152

export LM_LICENSE_FILE=/opt/cadence/license/cadence.dat

export LD_LIBRARY_PATH=$LD_LIBRARY_PATH:/opt/cadence/INCISIV152/tools.lnx86/lib

alias irun="/opt/cadence/INCISIV152/tools/bin/irun"

alias simv="/opt/cadence/INCISIV152/tools/bin/simvision"

alias simvision="/opt/cadence/INCISIV152/tools/bin/simvision -64 &"

alias ncvlog="/opt/cadence/INCISIV152/tools/bin/ncvlog"

alias ncsim="/opt/cadence/INCISIV152/tools/bin/ncvsim"

alias ncelab="/opt/cadence/INCISIV152/tools/bin/ncelab"

alias ncvhdl_p="/opt/cadence/INCISIV152/tools/bin/ncvhdl_p"

export SPECMAN_HOME=$INCISIVDIR/components/sn

export SPECMAN_DIR=$SPECMAN_HOME/

export PATH="$PATH:$INCISIVDIR/bin"

export PATH="$PATH:$INCISIVDIR/tools.lnx86/bin"

export PATH="$PATH:$INCISIVDIR/tools.lnx86/dfII/bin"



在 CentOS7 下安装 Cadence IC验证平台 INCISIVE152_大数据_07

保存并退出

使之生效

source ~/.bashrc

测试:运行simvision

simv



在 CentOS7 下安装 Cadence IC验证平台 INCISIVE152_大数据_08