Modelsim添加altera仿真库

之前用quartus的时候使用altera-modelsim,每次升级Quartus,都要重新匹配一下altera-modelsim,切换到Xilinx时,又要切换到modelsim SE,很不爽;

有没有一次配置好就可以仿真的呢?

medelsim 10.5。。。我来了;

首先为什么要在modelsim中加仿真库呢?我的理解是这样的,modelsim仿真功能强大,但是没有跟具体的器件相结合所以不能够进行时序仿真和后仿真。那么通过添加相应器件的仿真库就可以做到这些了。

关于器件,可以是lattice的,可以是xilinx,也可以是altera的,添加的方法其实都是一样的。我这里使用的是altera的仿真库。quartus软件中自带有altera的仿真库,我们只要把它拿到modelsim中去编译一下就可以啦;

1.首先,把modelsim.ini只读属性勾掉,保证其可写。

这一步需要先做,不然下一步新建库文件时将提醒“Cannot access for writing file “modelsim.ini”.

AMEsim库介绍 amesim如何添加模型库_编写代码

2.打开modelsim,切换到modelsim的安装目录;

更改目录File->Change directory到根目录下(注意这里不需要自己新建文件夹的,后面建了新的库会自动有一个新的文件夹把库中文件放进去的,不要多事)

AMEsim库介绍 amesim如何添加模型库_只读属性_02

AMEsim库介绍 amesim如何添加模型库_AMEsim库介绍_03

3.然后,File-new-library,建立altera_lib,其实和之前xilinx差不错,这里;

我使用的是modelsim的10.5版本,步骤是这样的:design->create a new library,打开之后在Library Name中写上altera_ib,点击ok就可以了,其他不用动

AMEsim库介绍 amesim如何添加模型库_只读属性_04

然后,你就在安装目录下可以看到(其实这些都可以用脚本来做的,类似于linux的命令一样)

AMEsim库介绍 amesim如何添加模型库_编写代码_05



在modelsim的环境下对altera库文件进行编译,步骤是design–>compile,打开对话框,library中选择你刚才建的库名altera_lib,在查找范围内选择altera库文件的所在地,我这里是C:\intelFPGA\17.1\quartus\eda\sim_lib,如果你是用vhdl编写代码的则选择.vhdl,verilog编写代码的话就选.verilog(我选择的是.verilog);

首先把目录下的单独的v文件全部编译(具体是些什么模块库我没有仔细看,估计是一些通用的库),然后选择你想要编译的器件库(我只用到cyclone系列的芯片)全选后编译即可.编译完你所想要编译的库文件后按done结束编译并退出modelsim

AMEsim库介绍 amesim如何添加模型库_库文件_06

编译中:在modelsim中的过程,截图没有截全

AMEsim库介绍 amesim如何添加模型库_ModelSim_07

编译完后,那个界面有个done,点击就可以退出了,上面两个图中的那个done,然后我们可以在altera_lib这个文件夹中看看是不是有生成的文件了。

AMEsim库介绍 amesim如何添加模型库_ModelSim_08

Ok,编译就结束了,然后,修改modelsim.ini配置文件;

在[Library]下可以看到altera_lib=altera_lib这一句,,那就修改下路径就好,

把这一句改为altera_lib = $MODEL_TECH/…/altera_lib,就ok了

AMEsim库介绍 amesim如何添加模型库_库文件_09

5, 然后保存,勾上只读属性,重启modelsim,会看到:

AMEsim库介绍 amesim如何添加模型库_编写代码_10

此时你再打开modelsim就能在Library栏看到你添加的库啦;

这样就ok了,当然我还测试了一下,就是没有修改modelsim.ini文件的时候altera_lib = altera_lib而不是altera_lib = $MODEL_TECH/…/altera_lib,那里是Unavailable;

接下来就可以愉快的玩耍了、