USB blasterII的驱动安装 参考资料:My first FPGA

File > New Project Wizard

SoCKit点灯_符号文件


设置路径和工程名字

SoCKit点灯_文件名_02


不添加其它文件,大不了后面在工程里面再手动添加

SoCKit点灯_文件名_03


选择SoC型号

SoCKit点灯_Verilog_04

把VHDL改成Verilog,不改也行

SoCKit点灯_符号文件_05


新建项目完成

然后新建一个文件, File > New > Block Diagram/Schematic File

SoCKit点灯_符号文件_06


按ctrl+s无法保存,在file-save as里面保存

SoCKit点灯_Verilog_07


新建Verilog文件

SoCKit点灯_文件名_08


写Verilog

SoCKit点灯_文件名_09

//It has a single clock input and a 32-bit output port
module simple_counter (
	CLOCK_50,
	counter_out
);
input CLOCK_50 ;
output [31:0] counter_out;
reg [31:0] counter_out;
always @ (posedge CLOCK_50) // on positive clock edge
begin
	counter_out <= #1 counter_out + 1;// increment counter
end
endmodule // end of module counte

更改文件名,保存文件

SoCKit点灯_文件名_10


使用该v文件创建符号文件,便于在bdf文件中添加器件

File > Create/Update > Create Symbol Files for Current File

创建成功,底下命令行没有报错

SoCKit点灯_符号文件_11


在blink.bdf下,右键,insert-symbol,插入刚刚建立的符号文件

SoCKit点灯_符号文件_12


用wizard添加pll ip核

SoCKit点灯_Verilog_13


设置pll的名字(这里取名为PLL)

SoCKit点灯_符号文件_14


ip核设置如下:

SoCKit点灯_Verilog_15


生成成功

SoCKit点灯_Verilog_16


设置线宽,在property的名称里面加上[31…0],表示32根线

添加多路选择器,利用ip核

Plug-Ins > Gates > LPM_MUX

SoCKit点灯_符号文件_17


SoCKit点灯_符号文件_18


画完最终如下:

SoCKit点灯_文件名_19


分配引脚:

Processing > Start > Start Analysis & Elaboration

Assignments > Pins

PIN assignments的几个值介绍

SoCKit点灯_文件名_20


分配情况如下:

SoCKit点灯_Verilog_21


编写SDC,Synopsys Design Constraints File

Tools > TimeQuest Timing Analyzer

在新弹出的窗口,File > New SDC file

代码如下:

create_clock -name "CLOCK_50" -period 20.000ns [get_ports {CLOCK_50}]
derive_pll_clocks
derive_clock_uncertainty

ctrl+s保存

编译,生成SRAM Object File (.sof),步骤如下:

点紫色箭头即可编译

可以查看资源使用情况

SoCKit点灯_Verilog_22


下载程序:

Tools > Programmer.

按照手册下载程序报错了怎么办?

SoCKit点灯_文件名_23


点灯成功!

SoCKit点灯_文件名_24